找软件用软件,就到易佰下载!
所在位置: 首页 —  软件下载  —  行业软件  —  机械电子  —  Xilinx ISE
Xilinx ISE

Xilinx ISE  v14.7

  • 软件大小: 7.78GB
  • 软件类型: 国产软件
  • 软件语言: 简体中文
  • 软件授权: 免费软件
  • 软件分类: 机械电子
  • 支持系统:

安全下载 使用WindSoul软件管家下载

软件介绍 下载地址

      Xilinx ISE14.7是一款相当专业的实用型电子设计辅助套件,Xilinx ISE功能全面,可以帮助用户对电子设计流程进行直观的生产力增强,Xilinx ISE软件具备了设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等功能,是FPGA的必备的设计工具。

截图

相关软件
相关软件 版本说明 下载地址
multisim10 中文免费版 查看
multisim12 汉化版 查看
protel dxp 2004 pcb线路板设计 查看
proteus模拟仿真软件 单片机 查看
Machining仿真软件 数控机床 查看
功能特色

      Xilinx ISE14.7的主要功能包括设计输入、综合、仿真、实现和下载,涵盖了可编程逻辑器件开发的全过程,从功能上讲,完成CPLD/FPGA的设计流程无需借助任何第三方EDA软件。

      1、图形或文本输入(Design Entry)

      图形或文本输入包括原理图、状态机、波形图、硬件描述语言(HDL),是工程设计的第一步,ISE集成的设计工具主要包括HDL编辑器(HDL Editor)、状态机编辑器(StateCAD)、原理图编辑器(ECS)、IP核生成器(CoreGenerator)和测试激励生成器(HDL Bencher)等。

      常用的设计输入方法是硬件描述语言(HDL)和原理图设计输入方法。原理图输入是一种常用的基本的输入方法,其是利用元件库的图形符号和连接线在ISE软件的图形编辑器中作出设计原理图,ISE中设置了具有各种电路元件的元件库,包括各种门电路、触发器、锁存器、计数器、各种中规模电路、各种功能较强的宏功能块等用户只要点击这些器件就能调入图形编辑器中。这种方法的优点是直观、便于理解、元件库资源丰富。但是在大型设计中,这种方法的可维护性差,不利于模块建设与重用。更主要的缺点是:当所选用芯片升级换代后,所有的原理图都要作相应的改动。故在ISE软件中一般不利用此种方法。

      为了克服原理图输入方法的缺点,目前在大型工程设计中,在ISE软件中常用的设计方法是HDL设计输入法,其中影响最为广泛的HDL语言是VHDL和Verilog HDL。它们的共同优点是利于由顶向下设计,利于模块的划分与复用,可移植性好,通用性强,设计不因芯片的工艺和结构的变化而变化,更利于向ASIC的移植,故在ISE软件中推荐使用HDL设计输入法。

      波形输入及状态机输入方法是两种最常用的辅助设计输入方法,使用波形输入法时,只要绘制出激励波形的输出波形,ISE软件就能自动地根据响应关系进行设计;而使用状态机输入时,只需设计者画出状态转移图,ISE软件就能生成相应的HDL代码或者原理图,使用十分方便。其中ISE工具包中的StateCAD就能完成状态机输入的功能。但是需要指出的是,后两种设计方法只能在某些特殊情况下缓解设计者的工作量,并不适合所有的设计。

      2、综合(Synthesis)

      综合是将行为和功能层次表达的电子系统转化为低层次模块的组合。一般来说,综合是针对VHDL来说的,即将VHDL描述的模型、算法、行为和功能描述转换为FPGA/CPLD基本结构相对应的网表文件,即构成对应的映射关系。

      在Xilinx ISE中,综合工具主要有Synplicity公司的Synplify/Synplify Pro,Synopsys公司的FPGA Compiler II/ Express, Exemplar Logic公司的LeonardoSpectrum和Xilinx ISE中的XST等,它们是指将HDL语言、原理图等设计输入翻译成由与、或、非门,RAM,寄存器等基本逻辑单元组成的逻辑连接(网表),并根据目标与要求优化所形成的逻辑连接,输出edf和edn等文件,供CPLD/FPGA厂家的布局布线器进行实现。

      3、实现(Implementation)

      实现是根据所选的芯片的型号将综合输出的逻辑网表适配到具体器件上。Xilinx ISE的实现过程分为:翻译(Translate)、映射(Map)、布局布线(Place Route)等3个步骤。

      ISE集成的实现工具主要有约束编辑器(Constraints Editor)、引脚与区域约束编辑器(PACE)、时序分析器(Timing Analyzer)、FPGA底层编辑器(FGPA Editor)、芯片观察窗(Chip Viewer)和布局规划器(Floorplanner)等。

      4、验证(Verification)

      验证(Verification)包含综合后仿真和功能仿真(Simulation)等。功能仿真就是对设计电路的逻辑功能进行模拟测试,看其是否满足设计要求,通常是通过波形图直观地显示输入信号与输出信号之间的关系。 综合后仿真在针对目标器件进行适配之后进行,综合后仿真接近真实器件的特性进行,能精确给出输入与输出之间的信号延时数据。

      ISE可结合第三方软件进行仿真,常用的工具如Model Tech公司的仿真工具ModelSim和测试激励生成器HDL Bencher ,Synopsys公司的VCS等。通过仿真能及时发现设计中的错误,加快设计中的错误,加快设计进度,提高设计的可靠性。

      每个仿真步骤如果出现问题,就需要根据错误的定位返回到相应的步骤更改或者重新设计。

      5、下载

      下载(Download)即编程(Program)设计开发的最后步骤就是将已经仿真实现的程序下载到开发板上,进行在线调试或者说将生成的配置文件写入芯片中进行测试。在ISE中对应的工具是iMPACT。

软件特点

      硅器件,专业防御级硅产品的传承使Xilinx成为满足恶劣环境和军事系统严格要求的明智选择。国防级设备包括扩展温度范围和坚固包装中的全铅(Pb)组件。

      Xilinx目前提供两种设计工具包。

      Vivado™是我们最新的设计套件,支持7系列,Zynq-7000和UltraScale设备。

      它经过重新设计,是一个以IP和系统为中心的设计环境,旨在加速Xilinx器件的设计生产力。

      Xilinx将在明年和未来增加许多先进的设计流程功能。

      ISE®是我们的传统设计套件。它支持所有现代器件系列,包括7系列FPGA和Zynq-7000 SoC系列。

      该套件为最新的先进设计流程提供当前支持,以促进安全,安全,高性能和低功耗解决方案。高级流程包括:隔离设计流程和动态部分重新配置等。

      Xilinx对先进的先进设计流程进行了大量投资:

      有关Xilinx如何解决安全性,安全性和高级设计流程(包括分区,动态部分重配置,隔离设计流程,合格比特流流程)的更多信息,请参阅 航空电子设备开发人员站点,隔离设计流程页面和安全解决方案页面。

      Xilinx提供一系列业界领先的嵌入式处理解决方案。

      Zynq-7000 SoC:我们最新的28nm SoC器件包含一个专用的双核Cortex-A9处理子系统,通过AXI接口直接连接到FPGA架构。

      该解决方案允许完全独立的软件和硬件开发工作。SoC器件还具有硬件加速和扩展功能,其中嵌入FPGA架构的定制IP和外设紧密集成到处理器子系统并从处理器子系统调用

      软处理器:Xilinx业界领先的基于FPGA的软处理器,基于32位RISC Harvard架构,具有高级架构选项,如AXI或PLB接口,存储器管理单元(MMU),指令和数据端缓存,可配置流水线深度,浮动 - 点单位(FPU)等等。

      它包含在IDS嵌入式版本中,并且在Xilinx的所有现代器件系列中均受支持。高度灵活的架构,以及针对嵌入式应用优化的丰富指令集,以最低的系统成本提供您所需的精确处理系统

安装方法

      1、下载Xilinx ISE软件包,解压后双击应用程序,进入安装界面

      2、弹出安装界面窗口,阅读安装向导,点击下一步按钮进入下一安装界面

截图

      3、点击阅读安装许可协议,点击并勾选条目按钮,点击下一步按钮

截图

      4、点击阅读安装许可协议,点击并勾选我同意安装许可协议按钮,点击下一步按钮

截图

      5、选择要安装的软件类型,根据用户的需求进行选择

截图

      6、弹出新窗口,直接点击下一步按钮,进入下一安装环节

截图

      7、点击浏览按钮,选择安装应用程序文件夹,选择安装路径,然后点击下一步

截图

      8、阅读安装信息,核对安装路径是否无误,然后点击下一步安钮

截图

      9、等待安装进度条加载完成,需要等到几分钟

截图

      10、当安装进程到达86%时会弹出一个对话框,点击同意安装

截图
截图
软件介绍
下载地址
返回顶部




Xilinx ISE

Xilinx ISE